Register sequence with sequencer

The deprecated utility macro


`uvm_sequence_utils(name,sequencer) 

was used to registers a sequence to a sequencer

1)In UVM1.2 which macro does this work ?
2)Should we register a sequence to a sequencer ?

Thanks in Adv

In reply to bl4ckp3rl :

You should not register a sequence with a sequencer. Use the `uvm_object_utils() to register the sequence with the factory, create the sequence in the test or virtual sequence, and start it on the required sequencer.