Regd set_timeout argument

I need to use uvm_top.set_timeout() feature to terminate the simulation if it exceeds 1ms, and when I pass the time value “1ms” as in
initial uvm_top.set_timeout(1ms),

sim is terminated in 1 us instead of 1 ms with the fatal error message,
UVM_FATAL @1000.000ns: reporter [PH_TIMEOUT] Explicit timeout of 1000.000ns hit, indicating a probable testbench issue".

What causes the set_timeout convert 1ms to 1us?

In reply to my_mgva:

What is your precision? You should double check.