Regarding function new in in uvm

Hi all,
i)if we are using create method in each class to build sub component then what is the use of new method in each component ?
ii) function new(string name , uvm_component parent = null);
super.new(name, parent);
endfunction: new
what is the use of the 1st argument and 2nd argument here. what it indicates .pleas explain briefly.I am new to uvm.

iii) In case of object , in new method why the 2nd argument is not present.

In reply to Jaygyana:

https://verificationacademy.com/forums/uvm/difference-between-uvmobject-and-uvmcomponent