Regarding error in questasim

** Warning: (vlib-34) Library already exists at “work”.

QuestaSim vlog 10.0b Compiler 2011.05 May 5 2011

– Compiling module simpleadder

** Note: (vlog-2286) Using implicit +incdir+C:/questasim_10.0b/uvm-1.0p1/…/verilog_src/uvm-1.0p1/src from import uvm_pkg

– Compiling package sa_testbench_pkg

– Importing package mtiUvm.uvm_pkg (uvm-1.0p1 Built-in)

** Error: sa_sequence.svh(1): near “class”: syntax error, unexpected class, expecting ‘;’ or ‘,’

** Error: sa_sequence.svh(2): Undefined variable: sa_transaction.

** Error: sa_sequence.svh(2): (vlog-2294) The ‘var’ keyword is missing.

** Error: sa_sequence.svh(2): near “function”: syntax error, unexpected function, expecting IDENTIFIER or TYPE_IDENTIFIER

** Error: C:/questasim_10.0b/win32/vlog failed.

Error in macro ./sa.do line 5

C:/questasim_10.0b/win32/vlog failed.

while executing

“vlog simple_adder.sv testbench.sv”

if i run the do file its showing above error. i not able to solve , please help me.

If you are getting errors, you need to post the source code in question so that others can provide more assistance.

Also, you need to update your Questasim to the latest version. Version 10.0b is over 4 years old and doesn’t contain the latest UVM version.