Regarding clone method

Why we do $cast in clone method?

In reply to thakarshweta1:
You should provide some more Information about your question, especially what Kind of clone method you have.

In reply to thakarshweta1:

You do not use $cast inside a clone method. You might use $cast to assign the return value, which is always the base class type, to the actual class type. See my course on SystemVerilog for OOP, second session.