READ_Monitor

Hellow seniors ,
my testbench running properly in scoreboard compersion sucessfull also.
but problem in Read monitor it is monitoring only " oe_n" which we are driving by Read driver but it not taking output
like data_out and sram_dq from DUT please let me know.

UVM_INFO verilog_src/questa_uvm_pkg-1.2/src/questa_uvm_pkg.sv(215) @ 0: reporter [Questa UVM] QUESTA_UVM-1.2.3

UVM_INFO verilog_src/questa_uvm_pkg-1.2/src/questa_uvm_pkg.sv(217) @ 0: reporter [Questa UVM] questa_uvm::init(+struct)

UVM_INFO @ 0: reporter [RNTST] Running test sram_test…

UVM_INFO @ 0: reporter [UVMTOP] UVM testbench topology:

--------------------------------------------------------------------

Name Type Size Value

--------------------------------------------------------------------

uvm_test_top sram_test - @465

env sram_env - @482

rtop r_agent_top - @507

ragt r_agent - @654

rdr r_driver - @681

rsp_port uvm_analysis_port - @698

seq_item_port uvm_seq_item_pull_port - @689

rmon r_monitor - @664

rmonitor uvm_analysis_port - @672

rsqr r_sequencer - @707

rsp_export uvm_analysis_export - @715

seq_item_export uvm_seq_item_pull_imp - @821

arbitration_queue array 0 -

lock_queue array 0 -

num_last_reqs integral 32 'd1

num_last_rsps integral 32 'd1

sb scoreboard - @638

fifo_rd uvm_tlm_analysis_fifo #(T) - @891

analysis_export uvm_analysis_imp - @935

get_ap uvm_analysis_port - @926

get_peek_export uvm_get_peek_imp - @908

put_ap uvm_analysis_port - @917

put_export uvm_put_imp - @899

fifo_w uvm_tlm_analysis_fifo #(T) - @838

analysis_export uvm_analysis_imp - @882

get_ap uvm_analysis_port - @873

get_peek_export uvm_get_peek_imp - @855

put_ap uvm_analysis_port - @864

put_export uvm_put_imp - @846

vsqr virtual_sequencer - @515

rsp_export uvm_analysis_export - @523

seq_item_export uvm_seq_item_pull_imp - @629

arbitration_queue array 0 -

lock_queue array 0 -

num_last_reqs integral 32 'd1

num_last_rsps integral 32 'd1

wtop w_agent_top - @495

wagt w_agent - @1116

wdr w_driver - @1143

rsp_port uvm_analysis_port - @1160

seq_item_port uvm_seq_item_pull_port - @1151

wmon w_monitor - @1126

w_monitor uvm_analysis_port - @1134

wsqr w_sequencer - @1169

rsp_export uvm_analysis_export - @1177

seq_item_export uvm_seq_item_pull_imp - @1283

arbitration_queue array 0 -

lock_queue array 0 -

num_last_reqs integral 32 'd1

num_last_rsps integral 32 'd1

wdr w_driver - @964

rsp_port uvm_analysis_port - @981

seq_item_port uvm_seq_item_pull_port - @972

wmon w_monitor - @947

w_monitor uvm_analysis_port - @955

wsqr w_sequencer - @990

rsp_export uvm_analysis_export - @998

seq_item_export uvm_seq_item_pull_imp - @1104

arbitration_queue array 0 -

lock_queue array 0 -

num_last_reqs integral 32 'd1

num_last_rsps integral 32 'd1

--------------------------------------------------------------------

UVM_WARNING @ 0: uvm_test_top.env.vsqr@@vseq [uvm_sequence_base] Body definition undefined

UVM_INFO w_monitor.sv(80) @ 60: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 1,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1313

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 60: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 2,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1319

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 60: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 1,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1327

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1327

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 60: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 100: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1340

begin_time time 64 20

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 140: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 3,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1440

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 140: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 4,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1444

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 140: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 2,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1448

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1448

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 140: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 180: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1340

begin_time time 64 100

end_time time 64 100

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 220: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 5,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1453

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 220: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 6,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1457

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 220: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 3,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1461

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1461

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 220: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 260: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1340

begin_time time 64 180

end_time time 64 180

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 300: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 7,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1465

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 300: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 8,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1469

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 300: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 4,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1473

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1473

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 300: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 340: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1340

begin_time time 64 260

end_time time 64 260

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 380: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 9,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1477

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO w_monitor.sv(80) @ 380: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 10,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1481

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO r_monitor.sv(72) @ 380: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 5,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1485

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1485

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 380: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 420: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1340

begin_time time 64 340

end_time time 64 340

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 460: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 11,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1489

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 1

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 460: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 12,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1493

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 1

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 460: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 6,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1497

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1497

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 460: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 500: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1501

begin_time time 64 420

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 1

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 540: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 13,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1505

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 540: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 14,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1509

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 540: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 7,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1513

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1513

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 540: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 580: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1501

begin_time time 64 500

end_time time 64 500

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 620: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 15,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1517

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 620: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 16,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1521

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 620: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 8,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1525

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1525

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 620: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 660: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1501

begin_time time 64 580

end_time time 64 580

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 700: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 17,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1529

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 700: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 18,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1533

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 700: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 9,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1537

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1537

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 700: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 740: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1501

begin_time time 64 660

end_time time 64 660

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 780: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 19,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1541

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO w_monitor.sv(80) @ 780: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 20,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1545

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO r_monitor.sv(72) @ 780: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 10,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1549

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1549

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 780: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 820: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1501

begin_time time 64 740

end_time time 64 740

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 860: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 21,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1553

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 1

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 860: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 22,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1557

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 1

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 860: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 11,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1561

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1561

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 860: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 900: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1565

begin_time time 64 820

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 1

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 940: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 23,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1569

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 940: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 24,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1573

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 940: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 12,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1577

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1577

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 940: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 980: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1565

begin_time time 64 900

end_time time 64 900

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 1020: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 25,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1581

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 1020: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 26,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1585

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 1020: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 13,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1589

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1589

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 1020: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 1060: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1565

begin_time time 64 980

end_time time 64 980

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 1100: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 27,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1593

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 1100: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 28,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1597

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 1100: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 14,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1601

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1601

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 1100: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 1140: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1565

begin_time time 64 1060

end_time time 64 1060

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 1180: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 29,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1605

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO w_monitor.sv(80) @ 1180: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 30,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1609

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO r_monitor.sv(72) @ 1180: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 15,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1613

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1613

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 1180: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 1220: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1565

begin_time time 64 1140

end_time time 64 1140

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 1260: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 31,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1617

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 1260: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 32,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1621

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 1260: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 16,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1625

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1625

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 1260: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 1300: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1629

begin_time time 64 1220

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 1340: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 33,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1633

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 1340: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 34,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1637

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 1340: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 17,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1641

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1641

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 1340: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 1380: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1629

begin_time time 64 1300

end_time time 64 1300

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 1420: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 35,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1645

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 1420: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 36,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1649

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 1420: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 18,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1653

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1653

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 1420: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 1460: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1629

begin_time time 64 1380

end_time time 64 1380

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 1500: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 37,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1657

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 1500: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 38,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1661

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 1500: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 19,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1665

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1665

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 1500: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 1540: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1629

begin_time time 64 1460

end_time time 64 1460

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 1580: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 39,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1669

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO w_monitor.sv(80) @ 1580: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 40,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1673

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO r_monitor.sv(72) @ 1580: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 20,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1677

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1677

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 1580: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 1620: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1629

begin_time time 64 1540

end_time time 64 1540

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 1660: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 41,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1681

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 1660: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 42,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1685

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 1660: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 21,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1689

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1689

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 1660: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 1700: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1693

begin_time time 64 1620

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd0

data_in integral 16 'd5

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 1740: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 43,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1697

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 1

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 1740: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 44,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1701

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 1

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 1740: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 22,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1705

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1705

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 1740: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 1780: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1693

begin_time time 64 1700

end_time time 64 1700

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 'd0

ub_n integral 1 1

addr integral 20 'd10

data_in integral 16 'd20

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 1820: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 45,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1709

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 1820: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 46,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1713

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 1820: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 23,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1717

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1717

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 1820: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 1860: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1693

begin_time time 64 1780

end_time time 64 1780

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 1

lb_n integral 1 1

ub_n integral 1 1

addr integral 20 'd15

data_in integral 16 'd40

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 1900: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 47,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1721

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

--------------------------------

UVM_INFO w_monitor.sv(80) @ 1900: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 48,printing from write monitor

--------------------------------

Name Type Size Value

--------------------------------

data_sent w_trans - @1725

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

--------------------------------

UVM_INFO r_monitor.sv(72) @ 1900: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 24,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1729

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1729

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 1900: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 1940: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1693

begin_time time 64 1860

end_time time 64 1860

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 'd0

ub_n integral 1 'd0

addr integral 20 'd110

data_in integral 16 'd80

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 1980: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 49,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1733

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO w_monitor.sv(80) @ 1980: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 50,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1737

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO r_monitor.sv(72) @ 1980: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 25,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1741

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1741

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 1980: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO w_driver.sv(80) @ 2020: uvm_test_top.env.wtop.wagt.wdr [SRAM_WRITE_DRIVER] printing from w_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req w_trans - @1693

begin_time time 64 1940

end_time time 64 1940

depth int 32 'd2

parent sequence (name) string 5 w_seq

parent sequence (full name) string 37 uvm_test_top.env.wtop.wagt.wsqr.w_seq

sequencer string 31 uvm_test_top.env.wtop.wagt.wsqr

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

------------------------------------------------------------------------------------

** Error: Assertion error.

Time: 2020 ns Scope: top_sv_unit.r_sequence.body File: r_sequence.sv Line: 31

UVM_INFO w_monitor.sv(80) @ 2060: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 51,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1745

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO w_monitor.sv(80) @ 2060: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 52,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1749

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO r_monitor.sv(72) @ 2060: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 26,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1753

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1753

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 2060: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

UVM_INFO r_driver.sv(80) @ 2140: uvm_test_top.env.rtop.ragt.rdr [SRAM_READ_DRIVER] printing from r_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req r_trans - @1758

begin_time time 64 2020

depth int 32 'd2

parent sequence (name) string 5 r_seq

parent sequence (full name) string 37 uvm_test_top.env.rtop.ragt.rsqr.r_seq

sequencer string 31 uvm_test_top.env.rtop.ragt.rsqr

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 2140: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 53,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1762

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO w_monitor.sv(80) @ 2140: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 54,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1766

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO r_monitor.sv(72) @ 2140: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 27,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1770

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1770

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 2140: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

** Error: Assertion error.

Time: 2140 ns Scope: top_sv_unit.r_sequence.body File: r_sequence.sv Line: 40

UVM_INFO w_monitor.sv(80) @ 2220: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 55,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1774

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO w_monitor.sv(80) @ 2220: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 56,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1778

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO r_driver.sv(80) @ 2260: uvm_test_top.env.rtop.ragt.rdr [SRAM_READ_DRIVER] printing from r_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req r_trans - @1758

begin_time time 64 2140

end_time time 64 2140

depth int 32 'd2

parent sequence (name) string 5 r_seq

parent sequence (full name) string 37 uvm_test_top.env.rtop.ragt.rsqr.r_seq

sequencer string 31 uvm_test_top.env.rtop.ragt.rsqr

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO r_monitor.sv(72) @ 2260: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 28,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1782

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1782

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 2260: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

** Error: Assertion error.

Time: 2260 ns Scope: top_sv_unit.r_sequence.body File: r_sequence.sv Line: 51

UVM_INFO w_monitor.sv(80) @ 2300: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 57,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1787

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO w_monitor.sv(80) @ 2300: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 58,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1791

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO r_driver.sv(80) @ 2380: uvm_test_top.env.rtop.ragt.rdr [SRAM_READ_DRIVER] printing from r_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req r_trans - @1758

begin_time time 64 2260

end_time time 64 2260

depth int 32 'd2

parent sequence (name) string 5 r_seq

parent sequence (full name) string 37 uvm_test_top.env.rtop.ragt.rsqr.r_seq

sequencer string 31 uvm_test_top.env.rtop.ragt.rsqr

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO w_monitor.sv(80) @ 2380: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 59,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1799

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO w_monitor.sv(80) @ 2380: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 60,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1803

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO r_monitor.sv(72) @ 2380: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 29,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1795

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1795

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

UVM_INFO scoreboard.sv(167) @ 2380: uvm_test_top.env.sb [==SCOREBOARD SUCESSFULLY_COMPARED============] KKKKSSSS

** Error: Assertion error.

Time: 2380 ns Scope: top_sv_unit.r_sequence.body File: r_sequence.sv Line: 63

UVM_INFO w_monitor.sv(80) @ 2460: uvm_test_top.env.wtop.wmon [SRAM_READ_MONIOTOR] TRANS NO 61,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1807

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO w_monitor.sv(80) @ 2460: uvm_test_top.env.wtop.wagt.wmon [SRAM_READ_MONIOTOR] TRANS NO 62,printing from write monitor

----------------------------------

Name Type Size Value

----------------------------------

data_sent w_trans - @1811

we_n integral 1 'd0

ce_n integral 1 'd0

lb_n integral 1 1

ub_n integral 1 'd0

addr integral 20 'd10485

data_in integral 16 'd6555

sram_dq integral 16 'dX

----------------------------------

UVM_INFO r_driver.sv(80) @ 2500: uvm_test_top.env.rtop.ragt.rdr [SRAM_READ_DRIVER] printing from r_driver

------------------------------------------------------------------------------------

Name Type Size Value

------------------------------------------------------------------------------------

req r_trans - @1758

begin_time time 64 2380

end_time time 64 2380

depth int 32 'd2

parent sequence (name) string 5 r_seq

parent sequence (full name) string 37 uvm_test_top.env.rtop.ragt.rsqr.r_seq

sequencer string 31 uvm_test_top.env.rtop.ragt.rsqr

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'dX

------------------------------------------------------------------------------------

UVM_INFO r_monitor.sv(72) @ 2500: uvm_test_top.env.rtop.ragt.rmon [SRAM_READ_MONIOTOR] TRANS NO 30,printing from read monitor

---------------------------------

Name Type Size Value

---------------------------------

data_sent r_trans - @1815

oe_n integral 1 'd0

sram_dq integral 16 'dX

data_out integral 16 'd0

---------------------------------

** Error: Assertion error.

Time: 2500 ns Scope: top_sv_unit.r_sequence.body File: r_sequence.sv Line: 70

UVM_FATAL @ 2500: uvm_test_top.env.rtop.ragt.rsqr [SNDREQ] Send request called without wait_for_grant

— UVM Report Summary —

** Report counts by severity

UVM_INFO : 154

UVM_WARNING : 1

UVM_ERROR : 0

UVM_FATAL : 1

** Report counts by id

[==SCOREBOARD SUCESSFULLY_COMPARED============] 29

[Questa UVM] 2

[RNTST] 1

[SNDREQ] 1

[SRAM_READ_DRIVER] 4

[SRAM_READ_MONIOTOR] 92

[SRAM_WRITE_DRIVER] 25

[UVMTOP] 1

[uvm_sequence_base] 1

** Note: $finish : C:/questasim64_10.4e/win64/…/verilog_src/uvm-1.1d/src/base/uvm_report_object.svh(292)

Time: 2500 ns Iteration: 3 Region: /uvm_pkg::uvm_sequence_base::start

1

Break in Function uvm_pkg/uvm_report_object::die at C:/questasim64_10.4e/win64/…/verilog_src/uvm-1.1d/src/base/uvm_report_object.svh line 292

DUT.