Randomize testbench and DUT configuration

We have a RTL design that works in multiple operation modes. Currently the DUT’s operation mode is specified thru a parameter that is passed in from the top-level testbench. The mode is realized/elaborated during the optimization phase (vopt) and determines the final DUT architecture. We have one testbench (TB) and I wish to use the same TB to run regressions for all the operation modes. What would be a good approach to randomize the configuration?

In our TB, we do have the UVM configuration object that can be randomized at runtime and pass to the sim environment. The DUT architecture cannot be changed during the simulation phase.

Thanks,
Richard

In reply to hctseng:

https://verificationacademy.com/forums/ovm/randomizing-module-parameters

There are also tool specific ways of doing this on the command line, but this forum is not for tool specific issues. Please contact your tool vendor directly or consult your tools documentation.

In reply to dave_59:

Thanks Dave. I got your point. This is very helpful!

Richard