RAL: uvm_reg_map base address does not work

Hello,

I’m trying to set a base address of the default_map different from 0 using the the create_map function as the following:

     this.default_map = create_map("", 32'h00010000, 4, UVM_LITTLE_ENDIAN, 1);

the regmodel still consider the base address as 0, could you please tell me why the above base address setting doesn’t work?

thanks,

In reply to Mohamed_TN:

How do you specify the offset in the add_reg method?

In reply to chr_sue:

I don’t consider the base address (truncated address).

the following function worked well:

    regmodel.default_map.set_base_addr(32'h00010000);

it seems there is a limitation in the create_map function !