RAL mirror() method with UVM_CHECK not printing error messages

Hi,

I’m currently having this problem where I’m calling

register_model.reg.mirror(status, UVM_CHECK)

it’s not printing the error message that says the DUT value is different to the mirrored_value set.

I know it should print this error message because I’ve first done a write() to write a value to the DUT and this changes the desired and mirrored value of this reg in the Register Model to the value I’ve just set. I then call the predict() method to change the mirrored_value to a different value. I then call the mirror() with UVM_CHECK but it does not print any error message. It does put the reg desired and mirrored value back to what it has read back from the DUT reg but I need that error message to indicate a difference between the DUT and mirrored_value.

I’m printing the desired and mirrored value after each operation and they have changed as described above.

The reg in the Register Model is set to non volatile as required as well.

Could anyone suggest why the mirror(status, UVM_CHECK) is not giving me an error message when there’s a difference between the DUT and mirrored_value please?

Thanks.