RAL ERROR reporter [RegModel] map 'mem_map' does not seem to be initialized correctly

,

Hi all ,
While running the sequence on I am getting this error . In sequence i am tying to to use mem_reg_block_h.addr_5_h.write(status,15, .parent(this)); .

and I am getting following warning

reporter [RegModel] map ‘mem_map’ does not seem to be initialized correctly, check that the top register model is locked()
I am not able to see any bus activity on interface.

Did you initialize the map correctly and lock it?

Your code should look something like:


  mem_map = mem_reg_model::type_id::create("mem_map");
  mem_map.build();
  mem_map.lock_model();