Questasim problem

when I try to compile uvm bases classes and macros I face these errors:

** Error: /questasim_10.0b/uvm-1.1b/src/uvm_macros.svh(87): Cannot open `include file “C:/questasim_10.0b/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/macros/uvm_version_defines.svh”.

** Error: /questasim_10.0b/uvm-1.1b/src/uvm_macros.svh(88): Cannot open `include file “C:/questasim_10.0b/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/macros/uvm_message_defines.svh”.

** Error: /questasim_10.0b/uvm-1.1b/src/uvm_macros.svh(89): Cannot open `include file “C:/questasim_10.0b/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/macros/uvm_phase_defines.svh”.

** Error: /questasim_10.0b/uvm-1.1b/src/uvm_macros.svh(90): Cannot open `include file “C:/questasim_10.0b/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/macros/uvm_object_defines.svh”.

** Error: /questasim_10.0b/uvm-1.1b/src/uvm_macros.svh(91): Cannot open `include file “C:/questasim_10.0b/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/macros/uvm_printer_defines.svh”.

** Error: /questasim_10.0b/uvm-1.1b/src/uvm_macros.svh(92): Cannot open `include file “C:/questasim_10.0b/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/macros/uvm_tlm_defines.svh”.

** Error: /questasim_10.0b/uvm-1.1b/src/uvm_macros.svh(93): Cannot open `include file “C:/questasim_10.0b/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/macros/uvm_sequence_defines.svh”.

** Error: /questasim_10.0b/uvm-1.1b/src/uvm_macros.svh(94): Cannot open `include file “C:/questasim_10.0b/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/macros/uvm_callback_defines.svh”.

** Error: /questasim_10.0b/uvm-1.1b/src/uvm_macros.svh(95): Cannot open `include file “C:/questasim_10.0b/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/macros/uvm_reg_defines.svh”.

** Error: /questasim_10.0b/uvm-1.1b/src/uvm_macros.svh(96): Cannot open `include file “C:/questasim_10.0b/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/macros/uvm_deprecated_defines.svh”.

C:/questasim_10.0b/win32/vlog failed.

although I had modified .ini file with the updated uvm folder , and I notice from library side that mtiUvm is un available , shall any one help me ?

For any tool related issues, I would recommend contacting your Mentor support engineer for help.

Questasim 10.0b is almost 3 years old. I would recommend using the latest release available on SupportNet. UVM was in the very early stages of development when this version was released. The latest release of Questasim will have better support.

Is there a specific reason you are trying to compile UVM instead of using the pre-compiled version that is provided with Questa?

In reply to Hany Salah:

Hey HAny salah,

DID u fix the above errors. AS i am also getting the same errors. Did u contact the vendor ?

In reply to dileep254:

Did you update to the latest version of Questasim? Questasim includes pre-compiled UVM libraries, so you should never have to compile the UVM source and will never see the reported errors.

In reply to cgales:

Hi cgales,

Thanks a lot Cgales