Query for UVM phase execution across the hierarchy

Hi,
In UVM,build phase executes from top to bottom because to create
an object which is contained in another object, then container
object must be created first and then the object inside it.

But connect phase occurs from bottom to top across the hierarchy.
Is there any specific reason for connect phase to execute
from bottom to top??

In reply to Shipra_s:

It is not bottom-up. See this discussion.

In reply to dave_59:

In most of the standard UVM books it is written that
connect phase occurs from bottom to top , so is it
not correct?

I want to know the order of calling of connect_phase
in different uvm components in a test bench architecture.

In reply to Shipra_s:

You need to read the thread that Dave referred to. There is a lot of information about how the phases are called, but the main point is that you should NEVER rely on the ordering since it can and will change over time.

In reply to cgales:

Thanks for the clarification.