Preload memory

I want to preload a external slave memory with some hex file (64 bit n no. of data). External slave memory means outside of my DUT, slave VIP is connected with AXI master port of DUT.

In reply to amit_p:

What is your problem? Just do it, reading in a hex-file.

In reply to chr_sue:

Yes, I implemented and used write function to preload memories in start of simulation phase. thanks