Pre randomize and post randomize will work in which phase()?

pre randomize and post randomize will work in which phase()???

IN START OF SIMULATION

is that true??

In reply to ram999:

No, this is not true. It will be executed in the run_phase().

In reply to chr_sue:

No, this is not true. The functions pre_randomize() and post_randomize() are part of the SystemVerilog LRM and not part of the UVM. The pre_randomize() and post_randomize() functions are called as part of the randomize() function of a class. pre_randomize() will be called prior to the constraint solver, and post_randomize() will be called after the constraint solver.

While it is true that randomize() is mainly called in the run_phase(), this is not always the case if you are randomizing configuration objects.

Thanks a lot…