Is this possible parameter has to override in test UVM?

please any one tell it is possible parameter override in UVM test?
For example:
In transaction

parameter m=1;
parameter s=1;

these parameter value i want change in uvm test.
In test

//parameter m=2;
//parameter s=2; 
env_h.agn_h.drv_h.trans_d.m=2;
env_h.agn_h.drv_h.trans_d.s=2;

if i use this way i get below error
This or another usage of ‘env_h.agn_h.drv_h.trans_d.m’ inconsistent with ‘parameter’ object.

In reply to omprakash raman:

You can use the config_db to set/get [variables] somewhere in your UVM hierarchy, i.e. set certain [variables] from test and retrieve these values where it is needed.

In reply to chr_sue:

thank you …

In reply to omprakash raman:

Hi,
Any other way is their to override the parameter in uvm?

In reply to omprakash raman:

You could hold actual parameters in a package or use parameterized classes. But the config_db approach is the most flexible and simple one.