Phase_timeout for individual sub-phases

Is it possible to set phase_timeout for individual sub phases within run_phase?

If yes, what is the recommended way to implement this?

Thanks!

In reply to SV_UVM_Baby:

The UVM’s timeout is just a big red button to hit if your simulation takes up too much time.

We don’t recommend using sub-phases, but if you do, you could call set_timout() at the beginning of each phase to reset the timer.

In reply to dave_59:

Thanks for the response Dave.

Is there any reason / thread which I can refer, which would help me to understand why sub-phases aren’t recommended?

In reply to SV_UVM_Baby:

The reason is you can never count on everyone using the same phases constantly. Use sequences.