Parameters

Can anyone tell how to pass parameters value to interface, driver and monitor without using parameterised classes as I am expolring some other way so that only 1 time I have to write the variables values.

In reply to om30:

I don’t understand what you are trying to avoid writing.

Right now I had written parameterized classes of driver monitor and also parameterized interface. So as all the parameters are same in all 3 components I want to avoid declaring driver and monitor as parameterized classes instead want to do other way that all 3 components gets the same value for parameters. I am using UVM and as I am new I am seeking some help

In reply to om30:

One of the easiest ways to handle this scenario is to pack these parameters into a package.

Thank You so much chr_sue Sir