Hello,
How can I parameterize my uvm class with typedef variables? I’m doing this, but it gives syntax error.
my monitor:
typedef enum { SRC, DST ) kind_e;
class my_monitor#( type kind=kind_e ) extends uvm_monitor;
`uvm_component_param_utils_begin( my_monitor#( kind ) )
....
my_env:
class my_env extends uvm_env;
my_monitor monitor;
function void build_phase(uvm_phase phase);
super.build_phase(phase);
monitor = my_monitor#( SRC )::type_id::create( "monitor", this );
....