Paging a uvm block in regmodel

Hello All,

I want to all add two uvm_block at same offset inside my regmodel.

I am doing like following but it will create only one instance at this address location.

default_map.add_submap( B0.default_map, 'h0);
foreach (B1[B1_i])
begin
default_map.add_submap(B1[B1_i].default_map, 'h4);
end

can anyone help??

Regards,
Pankaj Joshi