Packet based sequence in uvm

I have ether-net packet.Now for one channel i want to send some packet(2 packet) and then want to transfer some error block (66b)and then again i want to send packet(3 packet) then how to write sequence for that in uvm?