One config file vs a variety of config files(config file per test)

I have varaibles in the sequence and in the transaction that I want to change each test.

What is the best option? To have config file to each test, or to have one config file and set the val of the varaibles in the test file (uvm_test)?

In reply to saritr:

You can have a common configuration class object with all different parameters. Direct/randomize it from the test and set/get it using config_db.