Number of UVM_Object instances

Hi all,

I recently came across a protected variable(m_inst_count) in UVM_object class and there is a function(get_inst_count()) which returns this variable.

I want to know how many uvm_object type objects are created by user.

I runned this example https://www.edaplayground.com/x/AZJT with added `uvm_info and i am getting this resulthttps://pastebin.ubuntu.com/p/YymDQCwn8j/.

Kindly explain this behaviour of get_inst_count();

Thank you:)