Ncvlog: *E,NOPBIND: Package spi_module_pkg could not be bound

Hello,

I observe an error while calling a particular module (ex: spi_module) in the top level testbench. Error says, "ncvlog: E,NOPBIND: Package spi_module_pkg could not be bound.". I have my spi_scoreboard in the spi_module and i want to use this scoreboard in the top level testbench while simulating, and in this process when i import this in top_pkg.svh like: import spi_module_pkg::; and while simulation it shows the above error as mentioned.

somebody, could please help me with this issue…

thanks in advance.

Regards,
Shravan

Are you compiling the spi_module_pkg?
Please check your makefile target whether you have included the package.

Another reason;
If any error in the spi_pkg then also you will get the above error. Please make sure that you are not getting any errors in spi_pkg while compiling.

Regards,
mahee