My test case is not being executed

simulator is showing the following logs
UVM_INFO @ 0: reporter [RNTST] Running test dpram_test…
INFO: [0][_testrunner]: Registering Test Suite _ts
INFO: [0][_ts]: RUNNING

INFO: [0][_ts]: PASSED (0 of 0 testcases passing)

INFO: [0][_testrunner]: PASSED (1 of 1 suites passing) [SVUnit v2.11]
$finish called from file “_testrunner.sv”, line 40.
$finish at simulation time 0
V C S S i m u l a t i o n R e p o r t
Time: 0 ns
CPU Time: 0.300 seconds; Data structure size: 0.1Mb
Wed Jul 18 07:34:02 2018
Done

the follwing is the EDA link for the code

In reply to yethishwar:

In your packet definition are the macros wrong. It has to be:

//registry with factory
  `uvm_object_utils_begin(packet) 
  `uvm_field_int(we_addr,UVM_ALL_ON)
  `uvm_field_int (rd_addr,UVM_ALL_ON)
  `uvm_field_int (data_in,UVM_ALL_ON)
  `uvm_field_int (we,UVM_ALL_ON)
  `uvm_field_int (rd,UVM_ALL_ON)
  `uvm_object_utils_end

In reply to yethishwar:

  1. Change the packet with macro as chr_sue mentioned.
  2. In “Other Libraries” configuration of edaplayground, change to “None” instead of “SVUnit 2.11”
  3. Change the dpram_monitor.sv class:

	function void build_phase (uvm_phase phase);
		super.build_phase(phase);
      if(!uvm_config_db#(virtual intf ):: get (this,"","vif",vif))
         trans_collected=new("trans_collected");
	
          `uvm_fatal("NOVIF",{"virtual interface must be set for :",get_full_name(),".vif"});
	endfunction :build_phase

to


  function void build_phase (uvm_phase phase);
    super.build_phase(phase);
    trans_collected=new("trans_collected");
    if(!uvm_config_db#(virtual intf ):: get (this,"","vif",vif))
      `uvm_fatal("NOVIF",{"virtual interface must be set for :",get_full_name(),".vif"});
  endfunction :build_phase

You will see the result after changing them.

In reply to chris_le:

Thank you sir

In reply to chr_sue:

Thank you Sir