Multiple agents

For example,
I have an agent A. I need two handles for agent A in my environment as it is unidirectional.

agent A;
A a1; //this is a active agent
A a2; //this is a passive agent

My doubt here is

  1. Should I create two separate handles for agent_config?
  2. How can I configure a1 to active and a2 to passive?

In reply to prajkuma:

I try to understand what you want to say ‘it is unidrectional’. The pinlevel interface might have bidrectional signals, but the analysis port is uni-directional.
I guess you need only 1 agent.