M_sequencer & p_sequencer

Hi,

One of the recent interview questions that I came across is “uvm_sequence has both m_sequencer(uvm_sequencer type) and p_sequencer(type can be adjusted later using a macro) as the inherited properties and both the sequencers will be inherited to your own sequence as well. Why, UVM developers had given the names to both the sequencers as m_sequencer & p_sequencer?”

In reply to puttasatish:
https://verificationacademy.com/forums/uvm/psequencer-and-msequencer#reply-50885

In reply to dave_59:

Hi Dave,

Thanks for the reply. I have gone through that discussion earlier only and I am aware of the difference between m_sequencer and p_sequencer and how to use them.

My question is, why UVM developers have used the names like m_sequencer and p_sequencer? why they have not used p_sequncer for an object of uvm_sequencer type?

I am aware of the fact that, uvm developers will be able to answer this. The query is whether m & p has significance or they were just some random names used by uvm developers?

In reply to puttasatish:

I think m_ is for member and p_ I always thought meant parent, but I see some people calling it physical. p_ is a legacy of OVM I think