Mask "Report counts by id" from test's UVM Report Summary

Hi,

I am working in a SoC team, and trying to improve the test logs being created.
In the “UVM Report Summary” printed a section of
** Report counts by id

I find it to be redundant, and sometimes it has hundreds of lines.
How can I remove it from being printed?
Any switch/knob to turn on/off in the uvm_report_server?

example taken from the log:

— UVM Report Summary —

** Report counts by severity
UVM_INFO :76926
UVM_WARNING : 182
UVM_ERROR :318669
UVM_FATAL : 0
** Report counts by id
[ATM_CFG] 1
[CCU VC CFG] 1
[CFGPRT] 1
[ENV_PHASE] 3
[I2C CHECKER] 14
[INFO_REG_TEST] 62
[RNTST] 1

Thanks,
Michael

In reply to Michael54:

My personal belief is that it is a waste of time to try and modify the UVM report server. Your efforts would be better spent to understand how the report server functions and ensure that your environment follows the appropriate guidelines so that you have optimal performance:

  • Most messages should have UVM_HIGH verbosity since they will typically be used only for debugging. Your report shows 76,926 messages which is way to many for a standard simulation run. Reducing the number of messages will also improve your simulation performance.
  • Set a limit on the number of UVM_ERROR messages so that your simulation will end. Your debugging will focus on the first few errors, so why continue until 318,669 errors?
  • Run all regressions/tests with UVM_LOW verbosity. Only increase the verbosity if the simulation fails and needs to be rerun.
  • Target the verbosity to specific components as required.
  • Learn how to use your simulation tool effectively to triage failing tests.