Library of UVM?

Hello everyone,

I am trying to learn UVM. Can any one tell me how we can add the library of UVM with Questa sim?

The Questa installation includes a pre-compiled UVM library. When you compile your UVM code, Questa will automatically point to the correct files so you won’t need to add any additional arguments to your vlog, vopt of vsim commands.