Is it possible to send some random bits in between two packets in uvm?

Dear All,

I need to send some high impedance/IDLE symbols (eg 101010…) between the two packets/frames for long time. So is it possible in UVM to send some random data (not through packets in the form of bytes)? If yes, how do I do it? Can this be done through some constraint variables, set in a config db object? Its urgent, so any guidance, regarding the process, will be appreciated.
Thanks

Hi SwapnilM

I tried one as per the requirement and i am able to place some IDLE data 10101010/01010101 in between two packets.

///////////////////////////uvm test////////
[c]
phase.raise_objection(this);
seq = example1_basic_seq::type_id::create(“example1_basic_seq”, this);
uvm_config_db#(bit[7:0])::set(null,““,“IDLE”,'haa);
seq.start(env.agent.sqr);
uvm_config_db#(bit[7:0])::set(null,”
”,“IDLE”,'h55);
seq.start(env.agent.sqr);
phase.drop_objection(this);
[/c]
///////////////////////////////driver//////////////////////
[c]
forever
begin
uvm_config_db#(bit[7:0])::get(null,“*”,“IDLE”,data);
vif.wdata <= data;
@(posedge vif.clk);
seq_item_port.get_next_item(req);
$display(“req item”);
$display(“req item req.data == %h”,req.wdata);
vif.wdata <= req.wdata;
seq_item_port.item_done();
@(posedge vif.clk);
end
[/c]