Is it possible to have a uvmf_out_of_order_race_scoreboard?

Hi
The uvmf_base_pkg contains a uvmf_out_of_order_scoreboard and a uvmf_in_order_race_scoreboard. I wonder if it’s possible to combine the concepts used in these two types of scoreboard, to implement a uvmf_out_of_order_race_scoreboard? That would be a scoreboard which receives out of order transactions from both analysis exports, and there is no assumption as to which analysis export (expected or actual) is the first one to receive each particular transaction. Is it possible to have such a scoreboard? Or there’s some conceptual problem with what I described?
Thanks

In reply to Farhad:

I can’t think of any conceptual problems with this off the top of my head, it just isn’t something that has been requested before. We’ll add it to the list of enhancement requests and give it more consideration, for sure.

In the meantime, you are free to create your own scoreboard from scratch or by extending one of the pre-defined components and even specify that scoreboard be used in the YAML-based generation. You just need to make sure the scoreboard is compiled before it is referenced elsewhere in the environment.