Issue with uvm db configuration

Hello everyone,

i’m new to UVM, i’m trying to write a testbench for a D-FlipFlop on Questa .
i tried to follow steps as described in the tuto but i always get an error message telling me that my no virtual interface was found.
I’m stuck with this.
can somebody help me? i will be grateful.

In reply to AurelienTse:

It will be very difficult to answer your question without showing your implementation. kindly paste your relevant code, it will resolve your issue easily.

In reply to AurelienTse:

Hello everyone,
i’m new to UVM, i’m trying to write a testbench for a D-FlipFlop on Questa .
i tried to follow steps as described in the tuto but i always get an error message telling me that my no virtual interface was found.
I’m stuck with this.
can somebody help me? i will be grateful.

You have to pass the virtual interface to the config_db from the toplevel module. You might miss this.
config_db needs always a pair of set/get.

AurelienTse,

It would be good if you can share the ERROR message.

I guess one of the below could be a reason :

  1. The virtual interface that you have set at tb_top is not properly get in the driver/monitor. The field names should match .
  2. The get part needs be in the build_phase of driver/monitor.

~Pranoy