Integration of two VIps in single UVM ENVIRONMENT

Hai,

any one can help,how we can implement virtual sequence containing sub virtual sequences and virtual sequencer containing sub virtual sequencer and how can we start that virtual sequence in a test.

In reply to Gasthim Kiran:

Please read here Sequences/Virtual | Verification Academy