Incompatible complex type usage

Hi All,

I’m getting below error in vcs.

Error-[ICTTFC] Incompatible complex type usage
Incompatible complex type usage in task or function call.
The following expression is incompatible with the formal parameter of the
function. The type of the actual is ‘class
TB_WORK.uvm_pkg::uvm_object_wrapper’, while the type of the formal is ‘class
TB_LIBS_WORK.uvm_pkg::uvm_object_wrapper’. Expression: w_
Source info: this.create_item(w_, this.m_sequencer, “trans”)

the file which has following content

class gahb_mvc_seq extends mvc_sequence;

  `uvm_object_utils(gahb_mvc_seq) 
  
  rand integer count;
  rand qgahb_mvc_trans trans;

  function new(string name="gahb_mvc_seq");
	super.new();
  endfunction : new

  task body();
    repeat (count) begin
      `uvm_do(trans)  <--- from this line this error is poping up
    end
  endtask : body

endclass 


I’m not getting what exactly it is showing , Help me how to reslove this error.

In reply to warnerrs:

Hi warnerrs,

Thanks for you reply , Actually in my environment uvm_pkg is compiling twice so this kinda issues appeared . after cross checking & excluded second time for pkg compilation . issue got resloved.

Thanks,
koushik