Import of uvm_reg_*

Hello,

I am working on UVM-1.1d with QuestaSim-10.2c. Do i need to import “uvm_reg_pkg.sv” and uvm_reg_macros.svh.
Please guide and suggest.

Thanks
Sunil S.

In reply to sunils:

There is no uvm_reg_pkg.sv in the UVM base class library. You get all of the UVM reg functionality when you
import uvm_pkg::*;
. And you get all UVM macros when you
`include “uvm_macros.svh”

Since the OVM had no similar functionality, the uvm_reg_pkg was an additional package for OVM users to get access to the UVM register package when they were not ready to fully migrate to the UVM.