Impact of uvm verbosity

in sequence body ,we have given `UVM_INFO(“string1”,“string2”,UVM_LOW) statements for diSlaying messages in which we have given verbosity as UVM_LOW , and in run command we will specify verbosity as UVM_MEDIUM , whether it will have impact on the execution of sequence of body, apart from displaying messages

In reply to venky970:

If you are running the simulation with +UVM_VERBOSITY=UVM_HIGH all messages will be diplayed having UVM_HIGH or lower. It does not display the messages higher than UVM_HIGH.
The good thing with the reporting macro’s is the simulator is looking first for the verbosity before starting to calculate the output.

Verbosity is used to filter the messages.

In your case, in the command line, the verbosity is set as UVM MEDIUM. With this verbosity, all the info statements with verbosity greater than UVM MEDIUM(UVM_DEBUG, UVM_FULL, UVM_HIGH) will be filtered out & the info statements with the verbosity equal to & less than UVM _MEDIUM (UVM_LOW & UVM_NONE)will be displayed on the terminal. In sequence for the info statement as verbosity is UVM_LOW that statement will be displayed. Apart from that, there won’t be any impact on the execution of the sequence task body

Regards,
Shanthi

In reply to shanthi:

You might want to see Issue of using UVM_VERBOSITY | Verification Academy