If default constructor arguments are not passed in uvm_components , will it affect factory registration?

I have tried to create:
1.) sequencer
2.) extended sequencer
and using set_type_override in test , I override sequencer with extended sequencer. I didn’t pass any default constructor argument in both class but still in factory.print displays that override information.

What should happen if I don’t pass default constructor arguments?

In reply to juhi_p:

I’m not sure I understand your question. The create() method passes arguments to whatever type is chosen for the override. The override does not deal with constructor arguments.

In reply to dave_59:

According to UVM cookbook page no.10 It states Factory Coding Convention 2: Constructor Defaults. So, by skipping this convention, will factory registration is impact in any way? Because I didn’t see it in my case when I was overriding sequencer.