I am getting an error like "Compiling interface inf ** Error: (vlog-13069) ** while parsing file included at fa_top.sv(18) ** at my_vseq2.sv(1): near "base_vseq": syntax error, unexpected IDENTIFIER. ** Error: ** while parsing file included at fa_top.sv("

I am trying to do VIRTUAL SEQUENCE…

In reply to nishagajjar8:

You should show your code structure and how you are compiling this. The rror message alone is not enough to give you an advice.

I am trying to do an VIRTUAL SEQUENCE and merging the two sequences of Full adder and ADDER…
my code for which error is coming is like:

class my_vseq extends base_vseq;
`uvm_object_utils(my_vseq)

function new(string name="my_vseq");
super.new(name);
endfunction

task body();
super.body;
add_seq seq_adder;               //add_seq is sequence for ADDER
fa_seq seq_full_adder;           //fa_seq is sequence for FULL_ADDER

seq_adder=add_seq::type_id::create("seq_adder");
seq_full_adder=fa_seq::type_id::create("seq_full_adder");

seq_adder.start(sqr_adder);       //sqr_adder is sequencer for ADDER
seq_full_adder.start(sqr_full_adder);        //sqr_full_adder is sequencer for FULL_ADDER
endtask
endclass
////
////

base_vseq FILE:


class base_vseq extends uvm_sequence#(uvm_sequence_item);
`uvm_object_utils(base_vseq)

uvm_sequencer#(add_sequr) sqr_add;     //add_sequr is sequencer for ADDER
uvm_sequencer#(fa_seqr) sqr_fa;             //fa_seqr is sequencer for FULL_ADDER

function new(string name="base_vseq");
super.new(name);
endfunction
endclass

PLEASE GIVE SUGGESTIONS>>>

In reply to nishagajjar8:
Do you compile base_vseq before my_vseq? You must. And are the both in the same package? They should be.

yes…

In reply to nishagajjar8:

The code you posted shows base_vseq being defined after my_vseq. This will not work and will cause an error.

You need to post the exact code that you are trying to compile that reproduces the error so that others can provide assistance. If you don’t do this, then others will have to guess at what your problem is.

Also, the error stating that the error was from a file included from fa_top.sv seems to indicate that you aren’t using packages or are not compiling them correctly. Using packages correctly is an integral part of UVM.

The error says it cannot compile the interface file
If you have added the interface file definition in some package and then imported that package, most probably that is the reason of the error.
Try to add the interface file directly to simulation files list