How use single UVM register adaptor for a multiple register blocks in a Subsystem

Hi All,
In my project there is a requirement for multiple register blocks. Can you please help me with simple code snippet of single register adaptor with multiple register blocks in a UVM environment.

Thanks,
Srikanth

In reply to Kanth:

See Registers/ModelStructure | Verification Academy