How to use do_record method in questa

Hello all,
I am trying to use do_record method in questasim. But it is not showing any thing. Please can you suggest how to use do_record in questa and how to analyse it ???

Thanks
CB Singh

Can you explain more about what you mean by not showing anything? Do you mean that you are adding transactions to the waveform window and not seeing what you expect? Can you show the code you are using?

Have you referred to the article about Transaction Methods?

Which version of QuestaSim are you using? Have you contacted your local Mentor AE for assistance?

Any additional information you can post can help others provide better recommendations.

Hi cgales

In the seq_item i am modifying do_record method with `uvm_record_field(“message”,message) and in tb_top am using uvm_top.set_config_int(“*”, “recording_detail”, UVM_FULL) . In the list in gui of questasim I am not able to see any recorded transaction.
I wanted to know how to load and record the transaction in questasim. I am using questa version of 10.0c

Thanks
CB Singh

UVM was still in the early stages of development when Questasim 10.0c was released and UVM support was very limited. I would recommend updating to the latest Questasim release to get all the improvements to support UVM.

In reply to cgales:

Thanks cgales.

Can you give me any link which will has information how to generate and load recorded transaction in questasim

Thanks
CB Singh

Can you give me any link which will has information how to generate and load recorded transaction in questasim

Thanks
CB Singh

The Questssim User Manual has a chapter on generating/recording/viewing transactions.