How to start sequence on different sequencer

Hi All,

Sequence is started on a corresponding sequencer. Sequence and sequencer have same item type
(pkt) as an argument at the class declaration time(class seq extends uvm_sequence #(pkt) same for sequencer).

Now if I start the same/above sequence on other sequencer that has different pkt/item type in declaration, there should be an error. Then how can I do/achieve this?

Thanks,
Rahul

In reply to rahulkumarkhokher@gmail.com:

If I understand you right, you want to run a sequence of say pkt1 type, on a sequencer with say pkt2 type and see the failure ??

In reply to Ashith:

The better question is “why would you want to do a silly thing like that?”.