How to run multiple test scenarios?

How can i run several tests cases one after another.
i.e. I have two test scenarios: my_test1 and my_test2.

following command will use only one of the tests.
vsim tb_top +UVM_TESTNAME=my_test1

Now how can i run the scenario my_test2 which should continue from the end of the first test “my_test1”.

You need to change your perspective about what a test is. What you call a test scenario is a time consuming sequence in the UVM, possibly a virtual sequence. A UVM test builds the testbench architecture, decides which sequence(s) are to be run, when to end the test, and whether the test passes or fails. There can only be one test per simulation.

Hello Dave,

Yes, you are right. perspective is different here.
Is the test scenarios (time consuming) to check different functionality of a DUT (directed test) comparable to sequences?
It is not clear if i have different types of tests. i.e. random test and directed test, should i put them in the same test as the testbench architecture remains the same? What can be the reason to have different tests (i.e. my_test1, my_test2) with same environment.
If there is one test per simulation, how should I get total test coverage? Do i need to merge the coverage separately to get the total test coverage?

Thank you.

In reply to rahman:

You will merge the coverage data regardless of whether you merge the test scenarios into into individual sequences as part of a single test, or keep them as separate tests. Total coverage will be calculated the same. However you will lose the ability to rank the effectiveness of each test scenario if you merge them into a single test.

you need to write some (tcl) script to run the multiple test cases in regression. UVM will generate the coverage report per test case and at the end of all test cases, total coverage report needs to be generated based on the individual test coverage reports.