How to randomize realtime type?

what is the best way to randomize realtime type?
eg: realtime period

In reply to ramy:

The current SystemVerilog LRM does no allow random variables with real datatypes, although some tools support an extension with limited kinds of constraint expressions. You will need to check with your tool vendor.

Otherwise the easiest thing to do is scale your delays to fixed integer, then scale them back down after randomization. Delays get scaled to an integral value based on the global precision anyways.