How to pass/export the SV class handle to a C-DPI function?

Hi all,
I have a requirement where I need to export my test configuration object(which is in SV) to C domain. I need all those test config variables in C.
I know there are equivalent C data types for simple datatypes like byte,integer,logic,character and so on.

But I didnt find any euquivalent data type for class handle.

Please help.

Thanks,
Suyog

In reply to suyog_asic:

You cannot pass values from SystemVerilog to C if there are no matching equivalent types. What you can do is pack your test configuration object into a compatible C array or struct and pass that to C.