How to pass unsigned int in import DPI_C method

,

Shall I use import DPI_C like this ?

import “DPI-C” function void populate_mem_struct(input unsigned int data,input unsigned int address); ???

In reply to Nirmal Solomon:

SystemVerilog requires that you write “int unsigned”.

Note that your tool should provide a dpi header file to match the SystemVerilog prototype with the C prototype. Check your User Manual.