How to pass items between 2 sequences in a virtual sequence without involving uvm_confib_db

I have 2 sequences handled by a virtual sequence
I need help with passing item generated by first sequence to be passed to the second sequence.
I can use uvm_config_db to set and get the item, but that’s an expensive proposition.
Any help is appreciated.

Thanks
B

In reply to bramani@uvm:

Your virtual sequence can access information in both sequences. You can have the virtual sequence copy the required item from the first sequence to the second sequence as required.

Is there something that prevents this from working?