How to over ride uvm_top.set_report_verbosity_level_hier(UVM_NONE) during run time

Hello ,

In the Tb top I am setting verbosity to UVM_NONE by the code uvm_top.set_report_verbosity_level_hier(UVM_NONE). If during run time I want to change verbosity to UVM_DEBUG , I am not able to override it. How to override this code . I already tried +UVM_VERBOSITY plusarg, but it also not able to over ride .

In reply to Chandra Bhushan Singh:

If you just want to change the threshold globally, the easiest way is to use the +UVM_VERBOSITY command-line argument.

The following line
uvm_top.set_report_verbosity_level( UVM_MEDIUM );
is a Component-specific threshold which takes precedence over the previous global threshold.

The following line
uvm_top.set_report_id_verbosity( “id1”, UVM_HIGH );
is an ID specific threshold which takes precedence over the component-specific threshold (and the global threshold)

In other words, if you use component-specific threshold in your coude then that will never be overwritten by your global configuration in your command line.

A solution would be to parse the UVM command line arguments defining a variable to switch between verbosity. Then in your code you use that variable to define different component specific thresholds.