How to measure the clock frequency when out of phase

How to measure clock frequency of two clock which are out of phase. I want to measure the clock frequency of smpsd_clk and smps_pulse when they are out of phase when top_adcdigen and top_adcanaen =1. Waveform is as below

property smps_pulse_8MHZ_check;
realtime t1, t2;
@(posedge top_hclk )
disable iff(top_reset ==1 )
(top_adcdigen==1 && top_adcanaen==1) |-> (!top_smpsdclk && smps_pulse==1) || (top_smpsdclk && smps_pulse ==1) until @negegedge (top_smpsdclk) || ( ;

endproperty

My assertion is failing