How to map same register block to multiple interfaces

I have two processor interfaces, p0 and p1.
both processors able to access registers.
so i created two maps p0_map, p1_map
and set different sequencers in env.
regmodel.p0_map.set_sequencer(p0.sequencer, p0_reg2dm_adapter);
regmodel.p1_map.set_sequencer(p1.sequencer, p1_reg2dm_adapter);

how i can add same register block to two maps p0_map, p1_map (by using add_submap)