How to know on which sequence the current sequencer is running?

One my sequencer/driver is getting started even though non of my sequences call it. I want to know from which sequence, the sequencer/driver is getting started. Is there a way to print/get the sequence information in sequencer?

In reply to ravitejavoora:

Start by cranking the verbosity to UVM_DEBUG to see what appears.

If you are using “DEFAULT_SEQUENCE” in the uvm_config_db, this could be starting the sequence implicitly. If you are not doing that, congratulations, that is good!

In reply to ravitejavoora:

You may need to do the following within your sequencer class :

  1. Call function get_current_item Refer : https://verificationacademy.com/verification-methodology-reference/uvm/docs_1.2/html/files/seq/uvm_sequencer_param_base-svh.html#uvm_sequencer_param_base#(REQ,RSP).get_current_item
  2. Then on the return type of (1) , call function get_parent_sequence / get_root_sequence_name

I haven’t tested it personally but have a strong intuition that it would at least get you started