How to gracefully end the test before main phase in UVM

Hi,
In my test based on certain condition I want to end my test in configure_phase itself. Is there anyway to do that. I don’t want to set the flag and do if else if else in all remaining phases to skip them.

Thanks,

In reply to irshad_mansur:

It depends on what you think “gracefully” means. You can always execute $finish to end the test immediately. You also execute a phase jump:
phase.jump(uvm_final_phase::get());

In reply to dave_59:

Thanks Dave, I like the idea of phase jumping. one more follow up question related to phase.
This phases are declared as virtual. When I jump to final phase, it would actually call final_phase from child class. I want to disable this override capability.
When I jump, It should call the function of base class only.

Is there anyway to disable this polymorphism ?

Thanks,

In reply to irshad_mansur:

There is no way to disable polymorphism.

This is becoming an XY problem. Can you explain why you think you need this functionality and why $finish would not work for you?